Digital IC Backend Design Engineer

il y a 5 heures


Paris, Île-de-France Arago Temps plein

Meet Arago and the Aragonians
Arago is an AI and computer hardware company whose mission is to drive the course of history forward. We do so by accelerating breakthroughs at the intersection of AI and semiconductors.

Founded in 2024 by AI researchers and physicists with deep expertise in photonics, electronics, software, mathematics, and machine learning, Arago brings together a lean team of engineers and scientists from the world's top companies and research labs.

Composed of nine nationalities and operating from hubs in France, North America, and Israel, we believe in great science and fast achievements. Our work is guided by these core principles:

  • Do great things: we deliver work we're proud to sign our name to.
  • High velocity: speed matters. We move quickly, one step at a time.
  • One unit: we're all in this together, with relationships grounded in trust, respect, and camaraderie.

Arago is backed by executives from Apple, Arm, Nvidia, Microsoft, and Hugging Face, as well as prominent US and European deeptech venture firms and exited founders.

What You'll Do
As a Digital Backend Design Engineer, you will be responsible for the physical implementation of digital designs from RTL to GDSII, leveraging cutting-edge EDA tools and methodologies. You will collaborate closely with RTL design, DFT, and packaging teams to achieve optimal performance, power, and area (PPA), while meeting stringent timing and yield targets.

Required Skills And Experience

  • Master's or PhD degree in Electrical/Electronic Engineering or a related field.
  • 4+ years experience in digital IC backend implementation.
  • Proficiency with backend EDA tools, particularly Cadence Innovus and Genus.
  • Strong understanding of place & route (P&R), static timing analysis (STA), DRC/LVS verification, IR drop, and electromigration (EM) analysis.
  • Experience with advanced technology nodes (22nm or below preferred).
  • Skilled in Tcl scripting for automation of backend flows.
  • Experience in hierarchical or full-chip implementation is preferred.
  • Language: English at a proficient level. French is a plus.

Responsibilities

  • Execute full-chip and block-level physical design tasks including floorplanning, placement, clock tree synthesis, routing, and physical verification.
  • Develop and optimize the digital backend flow.
  • Drive timing closure, power optimization, and physical verification (LVS/DRC/ERC).
  • Collaborate with front-end designers to address timing and logical/physical interface issues.
  • Support tapeout preparation and final signoff processes.

Pay And Benefits

  • Competitive cash compensation, with final package based on location, experience, and the pay of team members in similar positions.
  • Meaningful stock option plan offered at the earliest stage of the company (included in the majority of full time offers).
  • Relocation bonus and coverage of moving expenses for relocation within 20 minutes of the company's location.
  • Healthcare coverage (including family-friendly options), pension contributions, professional development support, and 25 days of PTO, in addition to public holidays.
  • Ownership of a key technical domain, with significant vertical and/or horizontal growth opportunities, based on performance and individual drive.
  • Reimbursement of 50% of the public transport subscription fee.
  • A high-paced, multicultural (with 9 nationalities), and engineering-led environment.

Our Hiring Process: Your Journey to Arago

  • Screening Call : Get to know you beyond your CV.
  • Technical meeting : Deep dive into your past projects and technical achievements.
  • CEO Interview : Assess the fit with the team's culture and long-term vision.
  • Reference Calls: Mandatory calls with your former managers to validate strengths, weaknesses, and work style.
  • Technical Assessment: Take-home technical assignment crafted to the role you're applying for.
  • Final Interview : Discuss your technical assignment and address any remaining questions with team members.

  • Analog IC Design Lead

    il y a 2 jours


    Paris, Île-de-France IC Resources Temps plein

    I am recruiting for an Analog IC Design Lead on behalf of my client, a well-established semiconductor company based in the Provence region in France. The Analog IC Design Lead will join my client's team and play a key role in shaping future product concepts and technical direction.This position is aimed at a senior engineer with strong experience in...

  • Digital Design Engineer

    il y a 2 semaines


    Paris, Île-de-France Melexis Temps plein

    Location: Paris (France) or Sofia (Bulgaria) or Dresden (Germany)YOUR FUTURE JOBAt Melexis, we're searching for a Digital Design Engineer who doesn't just design circuits but becomes the mastermind behind them. As our Digital Design Engineer, you'll play a pivotal role in crafting the digital core of our cutting-edge integrated circuits. Here's your chance...

  • Senior Backend Engineer

    il y a 6 heures


    Paris, Île-de-France ScorePlay Temps plein

    Senior Backend EngineerDepartment: TechEmployment Type: Full TimeLocation: Paris - OfficeDescription We're looking for a senior Backend Engineer to join our Video Production squad — a small, focused team at the heart of ScorePlay's product.As the most senior engineer on the squad, you'll take ownership of backend services powering our video processing and...

  • Embedded Linux Engineer

    il y a 2 semaines


    Paris, Île-de-France IC Resources Temps plein

    Our client is pioneering the next generation of intelligent vision at the edge – and they're looking for an engineer who thrives on complex challenges and cutting-edge tech.Embedded Linux Engineer ResponsibilityArchitect and optimize embedded platforms for real-time decision-makingWork hands-on with neuromorphic sensors and processors (yes, the frontier of...

  • Backend Engineer

    il y a 5 jours


    Paris, Île-de-France Typology Temps plein

    Typology is the first skincare brand launched by Good Brands, an ambitious project to build a new generation of digital first consumer brands. Founded in 2019 by serial entrepreneur Ning Li, Typology became the #1 selling skincare brand online in France and operates also in Germany, the USA and Japan.Location: Paris (11e arrondissement) - 1 day remote per...

  • Lead Backend Engineer

    il y a 5 jours


    Paris, Île-de-France Licorne Society Temps plein

    Licorne Society a été missionné par une startup en pleine croissance pour les aider à trouver leur Lead Backend Engineer.Location: EU-based preferredWork Mode: Remote-First, you will have maximum 10 days of travel per quarter to meetup with the team in France or another EU country. You can also choose to work from our Paris office.We're looking for...


  • Paris, Île-de-France The Flex Temps plein

    Reinvent how the world rents.At The Flex, we believe renting a home should be as effortless as ordering an Uber.Our mission: make renting borderless, instant, and intelligent.Through , our proprietary platform, we're building the digital nervous system of the rental world — connecting property data, automating operations, and creating the intelligent...

  • Backend Engineer

    il y a 4 jours


    Paris, Île-de-France Pigment Temps plein

    Join Pigment: The AI Platform Redefining Business Planning Pigment is the AI-powered business planning and performance management platform built for agility and scale. We connect people, data, and processes in one intuitive, feature-rich solution, empowering every team—from Finance to HR—to build, adapt, and align strategic plans in real time. Founded...

  • Senior Backend Engineer

    il y a 2 semaines


    Paris, Île-de-France Timeleft Temps plein

    ⌘Role OverviewWe're looking for a Senior Backend Developer who's passionate about designing scalable systems, architecting robust APIs, and improving cloud infrastructure. This is a key technical role for someone who not only executes tasks but thinks systemically meaning identifying the best solutions for performance, reliability, and scalability.⌘Key...

  • Senior Backend Engineer

    il y a 6 heures


    Paris, Île-de-France Norbert Health Temps plein

    About NorbertNorbert is building autonomous robots that deliver healthcare.Our AI sensing platform mounts on mobile robots and does the work of a care team member—rounding on patients, capturing vitals without contact (FDA-cleared for pulse and respiratory rate, more in the pipeline), running assessments, documenting to the EMR, and escalating when...